Tuesday, August 30, 2016

Difference between Questasim and Modelsim

Used Questasim and Modelsim before. The former is commercial  and the latter is a bit old and can get educational version free. Found the differences of these two tools below from Ref[1].

Questa is Mentor's flagship product that has full System Verilog simulation support. Modelsim is an older product that has limited support for System Verilog. If you plan on using OVM/UVM then you would want to go with Questa, otherwise Modelsim is good enough.

From the following product description pages it looks like Questa's simulation kernel was written to take advantage of multi-core processors, and should have higher performance for large designs. I've never had the opportunity to use Questa for any extended period of time as the places I've worked never wanted to fork over the premium cost over a Modelsim license to get Questa.

Modelsim Product page:
http://www.mentor.com/products/fv/modelsim/

Questa Product page
http://www.mentor.com/products/fv/questa/

Some of the key differences of Questa over ModelSim:
    Compile flow optimizations
    Simulation Performance optimizations (2-50X)
    Post processing analysis (i.e. run a simulation in batch while viewing the results of a previous simulation)
    Multiple Wave Windows
    64-bit mode support (ModelSim is only released to run in 32-bit mode)
    Links to analog/mixed-signal simulation
    Job control and Integration with Simulation farms
    Access to Advanced SystemVerilog Testbench features (assertions, constraints, functional coverage)

References
------------
1. http://www.edaboard.com/thread195003.html

No comments:

Post a Comment