Saturday, July 16, 2016

Verilog Syntax 2 - Timescale

`timescale 1ns/1ps
means whatever times you mensioned in verilog code will be taken in ns.
#22; //22 ns

Resolution of 1ps means
you can have
#0.001; // 0.001 ns / 1ps as smallest representation of the time.
#0.000123; // this means 0 ns

No comments:

Post a Comment